EMIB, il futuro dei chip secondo Intel

Secondo Intel il futuro dei processori si chiama EMIB, un'interconnessione che permetterà di creare soluzioni in grado di adattarsi a mercati differenti.

Avatar di Paul Alcorn

a cura di Paul Alcorn

Non solo AMD, Nvidia e Microsoft, anche Intel ha partecipato alla conferenza Hot Chips 2017. La casa di Santa Clara ha parlato di EMIB, Embedded Multi-Die Interconnect Bridge, un nome dietro a cui si cela una tecnica per permettere la comunicazione ad alta velocità tra chip diversi. Questa tecnologia di packaging consentirà a Intel di assemblare i componenti in stile "mattoncini Lego" (Intel parla di chiplet) all'interno di singoli package eterogenei.

Non è la prima volta che parliamo di EMIB, infatti Intel ne aveva già accennato l'arrivo al Technology and Manufacturing Day dello scorso marzo. Il colosso dei microprocessori sta pensando di usare EMIB per inserire su un singolo package FPGA, ASIC, CPU e memoria HBM, al fine di creare una nuova ondata di soluzioni personalizzate capaci di garantire alte prestazioni di calcolo.

Il primo passo di EMIB è strettamente legato all'acquisizione di Altera per 16,7 miliardi operato nel 2015. Ora il produttore di FPGA fa parte della divisione Programmable Solutions Group di Intel, e quest'ultima sta usando il packaging EMIB per i nuovi FPGA Stratix 10, di cui parleremo dopo. Partiamo dalle basi.

Monolitico contro eterogeneo

Il tradizionale die monolitico è formato da diversi componenti distinti come i core della CPU, i controller di I/O (input / output) e i core grafici, tutti prodotti su un solo e grande die monolitico con lo stesso processo di produzione. I chip diventano però fisicamente sempre più grandi e la possibilità di introdurre difetti durante la produzione si fa maggiore, abbassando le rese. Inoltre, alcuni componenti non scalano bene passando a processi produttivi inferiori.

m1 PNG

Clicca per ingrandire

Perciò, mentre i core di una CPU a 10 nanometri potrebbero funzionare tranquillamente, miniaturizzare il controller di I/O potrebbe essere più difficile. Inoltre esistono componenti ottimizzati per determinati processi produttivi con l'obbiettivo di svolgere operazioni specifiche.

m2 PNG

Clicca per ingrandire

Passare a un progetto eterogeneo, che combina diversi componenti separati in un singolo package, risolve diversi problemi. Il primo è che i die più piccoli eludono i problemi di resa, mitigando la possibilità che affiorino difetti catastrofici.

m3 PNG

Clicca per ingrandire

Allo stesso tempo Intel può combinare diversi componenti creati con processi differenti sullo stesso package. Questo permette all'azienda di usare processi produttivi più rodati per i componenti più difficili da miniaturizzare o che hanno compiti particolari. Ciò ha anche un altro beneficio: separa i cicli di sviluppo di dispositivi analogici e digitali, riducendo il tempo di arrivo sul mercato.

qwerqwer PNG

Clicca per ingrandire

L'idea è semplice sul piano concettuale, ma offrire una bassa latenza, un consumo ridotto e un'interconnessione con bandwidth elevato tra i diversi componenti è una sfida. Farlo, mantenendo un costo ragionevole, è persino più difficile.

Costruire un chip eterogeneo

Abbiamo già visto progetti eterogenei nei processori esistenti. Un esempio è Knights Landing della stessa Intel. Le medesime tecniche sono usate anche per collegare i package HBM alle GPU - ad esempio AMD Vega e Nvidia Volta. I processori EPYC e Threadripper di AMD inoltre combinano diversi chip in un singolo processore logico, ma l'approccio è un po' differente, perché ogni chip è un'unità completamente funzionante. Ci sono differenti strade che si possono percorrere, con vari livelli di efficienza e prestazione al fine di ottenere chip eterogenei. Secondo Intel (ovviamente) EMIB è quello più sofisticato.

Sono due le opzioni più popolari per avere alte prestazioni. La prima è un package multi-chip, il quale connette il die attraverso un substrato package. Il progetto soffre di una scarsa densità di collegamento nel substrato e laddove le interconnessioni incontrano il die - notare la spaziatura delle linee rosse.

m4

Clicca per ingrandire

Un'altra tecnica è rappresentata dagli interposer in silicio - una soluzione detta anche packaging 2.5D. Consiste di un sottile strato di silicio che si frappone tra il die e il substrato package. La comunicazione die-to-die passa dall'interposer in silicio, aumentando la densità di collegamento. Migliora anche la densità di connessione die-to-interposer. Sfortunatamente gli interposer in silicio sono più costosi, a causa della dimensione dell'interposer e della tecnologia TSV. Inoltre, tutte le connessioni sul die devono passare tramite l'interposer in silicio. I processori Threadripper ed EPYC di AMD, come nota a margine, usano un interposer organico per collegare i die Zeppelin.

Intel ritiene che EMIB, al fondo della slide, risolva diversi problemi in un colpo solo. Intel integra piccoli bridge in silicio nel substrato package consentendo una densità di interconnessione maggiore nella periferia del die. L'azienda riduce anche il costo, perché non usa TSV e i bridge sono più piccoli rispetto agli interposer in silicio.

m5

Clicca per ingrandire

Il bridge in silicio è formato da quattro layer di interconnessioni metalliche per la comunicazione die-to-die - sul fondo dell'immagine qui sopra. Queste interconnessioni hanno un pitch (distanza) minore di quella che si ottiene con un substrato package.

m6

Clicca per ingrandire

I microbump nella periferia del die si connettono al bridge in silicio e hanno un pitch di 55 micrometri, che secondo Intel scalerà a 35 micrometri in futuro. L'azienda prevede che entro sette anni si passerà a 10 micrometri. Il resto delle connessioni ha un pitch Flip-Chip standard sopra i 100 micrometri.

m7

Clicca per ingrandire

Gli interposer in silicio sono grandi, quindi tutto il traffico, inclusi i segnali in radiofrequenza, I/O e l'alimentazione devono passarvi attraverso. EMIB trasmette segnali digitali solo tramite i microbump più piccoli all'interno del bridge in silicio, mentre gli altri segnali devono ancora passare tramite il substrato package. Questo permette una maggiore densità nel bridge in silicio e riduce il rumore. Come visibile nell'immagine sopra, ogni package può avere più bridge in silicio. Gli interposer in silicio hanno limiti nella dimensione, laddove i package EMIB possono essere molto più ampi.

m8

Clicca per ingrandire

Usando EMIB Intel può inserire die entro 100 micron l'uno dall'altro. Ciò riduce lo spazio tra i componenti, riducendo inoltre l'energia richiesta per spostare i dati, anche se non è ancora efficiente quanto un'implementazione monolitica "on die". Durante la conferenza Intel non ha mostrato confronti sui consumi rispetto ai tradizionali interposer in silicio. Piuttosto, ha confrontato EMIB alle interconnessioni standard, come PCIe e DDR, che si trovano sulle motherboard.

Sappiamo che un singolo chip può supportare fino a 20.000 connessioni EMIB con un throughput per ciascuna fino a 2 Gbps.