Intel cambia i processi produttivi: Alder Lake utilizzerà il nodo Intel 7

Intel ha mostrato la sua roadmap di processo e di tecnologie di packaging dell'azienda che ora si estende fino al 2025.

Avatar di Sara Grigolin

a cura di Sara Grigolin

Il CEO di Intel, Pat Gelsinger, ha svelato la nuova roadmap di processo e di tecnologie di packaging dell'azienda che ora si estende fino al 2025. Intel ha anche anticipato i primi dettagli della sua tecnologia dell'era angstrom (la prossima misurazione al di sotto del nanometro), come RibbonFET, il suo primo nuovo design di transistor dall'arrivo di FinFET un decennio fa, e PowerVia, una nuova tecnica di erogazione di potenza tramite il backside che inserisce i transistor tra gli strati di cablaggio.

Intel cambierà anche di nuovo il suo schema di denominazione dei nodi di processo, questa volta in modo che corrisponda alla denominazione utilizzata da aziende esterne come TSMC. Questo re-branding inizia con Intel SuperFin Enhanced a 10nm, che ora verrà rinominato "Intel 7". Non vedremo più il tradizionale suffisso "nm" vicino ai nodi di processo dell'azienda: Intel chiamerà i suoi nodi in base alle prestazioni e alla potenza. Di conseguenza, anche tutti i nomi dei nodi successivi di Intel verranno modificati, con Intel a 7 nm che diventerà "Intel 4" e così via.

  • Intel 7 offre un aumento di prestazioni per watt compreso tra il 10% e il 15% circa rispetto a Intel SuperFin a 10 nm, in base alle ottimizzazioni dei transistor FinFET. Intel 7 sarà presente in prodotti quali Alder Lake per client nel 2021 e Sapphire Rapids per data center, per cui è previsto l’inizio della produzione nel primo trimestre del 2022.
  • Intel 4 abbraccia appieno la litografia EUV per stampare elementi di dimensioni incredibilmente ridotte utilizzando luce a lunghezza d'onda ultracorta. Con un aumento delle prestazioni per Watt di circa il 20% insieme a miglioramenti nella superficie, Intel 4 sarà pronto per la produzione nella seconda metà del 2022 con i prodotti previsti in arrivo sul mercato nel 2023, tra cui Meteor Lake per client e Granite Rapids per data center.
  • Intel 3 sfrutta ulteriori ottimizzazioni di FinFET e un aumento dell'EUV per fornire un aumento di circa il 18% nelle prestazioni per watt rispetto a Intel 4, oltre a ulteriori miglioramenti nella superficie. Intel 3 sarà pronto per per entrare in produzione nella seconda metà del 2023.
  • Intel 20A inaugura l'era dell’angstrom con due tecnologie innovative, RibbonFET e PowerVia. RibbonFET, l'implementazione di Intel di un transistor con gate su tutti i lati, sarà la prima nuova architettura di transistor dell'azienda da quando ha introdotto FinFET nel 2011. PowerVia è l’esclusiva implementazione di Intel di alimentazione dal retro, che ottimizza la trasmissione del segnale eliminando la necessità far passare l'alimentazione al lato anteriore del wafer. Si prevede che la produzione di Intel 20A inizi nel 2024. Intel è inoltre entusiasta dell'opportunità di collaborare con Qualcomm con la propria tecnologia di processo Intel 20A.

Ma non solo processi, Intel ha mostrato anche la sua roadmap di packaging. Con la nuova strategia IDM 2.0 di Intel, il packaging sta diventando sempre più importate per realizzare i vantaggi della Legge di Moore. Intel ha annunciato che AWS sarà il primo cliente a utilizzare le soluzioni di packaging IFS, e ha fornito i seguenti dettagli sulla propria roadmap di packaging avanzato:

  • EMIB continua a guidare il settore come la prima soluzione di bridge embedded 2.5D, con prodotti in produzione dal 2017. Sapphire Rapids sarà il primo prodotto per datacenter Xeon a essere commercializzato in massa con EMIB (embedded multi-die interconnect bridge). Oltre a Sapphire Rapids, la prossima generazione di EMIB passerà da un bump pitch di 55 micron a 45 micron.
  • Foveros sfrutta le capacità di packaging a livello di wafer per fornire una soluzione di 3D stacking unica nel suo genere. Meteor Lake sarà l'implementazione di seconda generazione di Foveros in un prodotto client e presenta un bump pitch di 36 micron, tile che coprono molteplici nodi tecnologici e una gamma di potenza termica da 5 a 125 W.
  • Foveros Omni inaugura la nuova generazione della tecnologia Foveros fornendo flessibilità illimitata con la tecnologia di 3D stacking ad alte prestazioni per interconnessione die-to-die e prodotti modulari. Foveros Omni consente la disaggregazione degli stampi, mescolando molteplici tile superiori con molteplici tile di base su nodi fab misti, e si stima essere pronto per la produzione di massa nel 2023.
  • Foveros Direct passa all'unione diretta rame-rame per interconnessioni a bassa resistenza e rende più labile il confine tra dove finisce il wafer e dove inizia il package. Foveros Direct consente picchi di bump inferiori a 10 micron con un aumento di un ordine di grandezza nella densità di interconnessione per il 3D stacking e la creazione di nuovi concetti per il partizionamento funzionale di stampi che prima non erano realizzabili. Foveros Direct è complementare a Foveros Omni ed è anch’esso previsto per il 2023.