Intel pensa al dopo CMOS. MESO è la chiave per i microprocessori del futuro?

MESO (magneto-electric spin-orbit) è il progetto di Intel, insieme ad alcuni laboratori di ricerca, che guarda oltre il CMOS per il futuro dei microprocessori.

Avatar di Manolo De Agostini

a cura di Manolo De Agostini

Andare oltre la tecnologia CMOS (Complementary metal–oxide–semiconductor) per mettere a punto microprocessori sempre più veloci, con potenzialità tali da continuare a seguire i dettami della Legge di Moore.

In un nuovo documento di ricerca pubblicato su Nature, i ricercatori di Intel, University of California (Berkeley) e Lawrence Berkeley National Laboratory descrivono MESO (magneto-electric spin-orbit), un dispositivo logico che ha "il potenziale di ridurre la tensione di 5 volte e l'energia di 10-30 volte quando combinato a uno stato sleep ultrabasso rispetto alle attuali soluzioni CMOS", il tutto offrendo "cinque volte le operazioni logiche nello stesso spazio dei CMOS, continuando la tendenza di svolgere più calcoli per area, un principio centrale della legge di Moore".

Se da una parte Intel lavora per garantire che la tecnologia CMOS alla base dei circuiti dei microprocessori odierni continui a scalare, l'azienda sta anche vagliando diverse opzioni per il futuro, conscia che prima o poi l'era dei CMOS finirà.

"Stiamo lavorando su approcci rivoluzionari e non evoluzionari per l'era informatica oltre i CMOS. MESO è costituito da interconnessioni a bassa tensione e materiali magneto-elettrici a bassa tensione. Fonde l'innovazione dei materiali quantistici con l'informatica. Siamo entusiasti dei progressi che abbiamo fatto e non vediamo l'ora di ulteriori dimostrazioni per ridurre ulteriormente la tensione di switching e portarla al suo potenziale", ha affermato Ian Young, Intel Senior Fellow e direttore dell'Exploratory Integrated Circuits group del Technology and Manufacturing Group.

Proprio Ian Young, otto anni fa, ha creato un gruppo di ricerca in Intel per indagare su alternative ai transistor, e cinque anni fa ha iniziato a concentrarsi sui multiferroici e i materiali spin-orbita, anche detti "topologici", con proprietà quantistiche uniche.

Intel e i suoi partner hanno già messo a punto un prototipo di dispositivo MESO, completo di memoria, interconnessioni e logica. Il prototipo si avvale di materiali con comportamenti quantistici che emergono a temperatura ambiente, insieme a materiali magneto-elettrici sviluppati da Ramamoorthy Ramesh della UC Berkeley e dal Lawrence Berkeley National Laboratory. MESO usa anche effetti di trasduzione spin-orbita descritti da Albert Fert dell'Unité Mixte de Physique CNRS/Thales.

"MESO è un dispositivo costruito con materiali quantistici a temperatura ambiente", ha affermato Sasikanth Manipatruni, senior staff scientist e direttore dell'Intel Science and Technology Center on Functional Electronics Integration and Manufacturing. "È un esempio di ciò che è possibile e si spera possa innescare innovazione tra industria, mondo accademico e laboratori nazionali. Un certo numero di materiali e tecniche devono ancora essere sviluppati per consentire il nuovo tipo di dispositivi e architetture di calcolo".

MESO è stato inventato dagli scienziati di Intel e Manipatruni ha progettato il primo dispositivo. Ma come funziona? Stando a quanto riportato sul sito della UC Berkeley, nel caso di MESO i bit binari sono rappresentati dagli stati di spin magnetico "su" e "giù" in un materiale multiferroico, creato per la prima volta nel 2001 da Ramamoorthy Ramesh, professore della UC Berkeley.

"La scoperta è stata che esistono materiali in cui è possibile applicare una tensione e modificare l'ordine magnetico del multiferroico", ha spiegato Ramesh. "Ma per me, la domanda 'cosa faremo con questi multiferroici?' era da sempre LA domanda. MESO colma questa lacuna e fornisce una via per l'evoluzione dell'informatica".

Nel documento su Nature, i ricercatori spiegano che hanno ridotto la tensione necessaria alla commutazione nel materiale multiferroico magneto-elettrico da 3 volt a 500 millivolt, ma ritengono si possa scendere a 100 millivolt, ovvero da un quinto a un decimo di quanto richiesto oggi dai transistor CMOS. Come sempre una tensione bassa significa un minore consumo di energia: l'energia totale per far passare un bit da 1 a 0 sarebbe di conseguenza da un decimo a un trentesimo di quella richiesta dal CMOS.

I multiferroici sono materiali i cui atomi mostrano più di uno stato collettivo. Nei ferromagneti, per esempio, i momenti magnetici di tutti gli atomi di ferro nel materiale sono allineati per generare un magnete permanente. In quelli ferroelettrici, invece, le cariche positive e negative di atomi creano dipoli elettrici che si allineano lungo il materiale e creano un momento elettrico permanente.

MESO è basato su un materiale multiferroico che consiste di bismuto, ferro e ossigeno (BiFeO3) che è sia magnetico che ferroelettrico. Il suo vantaggio chiave, spiegano i ricercatori, è che questi due stati sono collegati o accoppiati, quindi cambiarne uno influenza l'altro. Manipolando il campo elettrico potete modificare lo stato magnetico, cosa fondamentale per MESO.

L'importante scoperta è arrivata con il rapido sviluppo di materiali topologici con effetti spin-orbita, che consentono di leggere lo stato del multiferroico in modo efficiente. Nei dispositivi MESO, un campo elettrico altera o inverte il campo elettrico del dipolo in tutto il materiale, cosa che modifica o inverte gli spin elettrici che generano il campo magnetico. Questa capacità deriva dall'accoppiamento spin-orbita, un effetto quantistico che produce una corrente determinata dalla direzione dello spin dell'elettrone.

In un altro documento apparso all'inizio del mese su Science Advances, la UC Berkeley e Intel hanno dimostrato sperimentalmente lo switching magnetico controllato dalla tensione usando il materiale alla base di MESO.