L'unboxing più spettacolare di sempre, una macchina per fare chip da 380 milioni di dollari!

Intel ha condiviso un video di unboxing relativo all'installazione della macchina di litografia High-NA di ASML, del valore di 380 milioni di dollari

Avatar di Andrea Maiellano

a cura di Andrea Maiellano

Author

Intel ha condiviso un video di unboxing relativo all'installazione della macchina di litografia High-NA di ASML, del valore di 380 milioni di dollari, nel suo stabilimento in Oregon.

ASML aveva iniziato a consegnare il sistema di litografia EUV High-NA a Intel alla fine dello scorso anno. Il video mostra l'enormità della Twinscan EXE:5000 di ASML, il quale ha richiesto il trasporto sia tramite aereo cargo, che attraverso un camion, per consegnare tutti i componenti chiave all'impianto di Hillsboro, in Oregon.

La macchina, destinata principalmente a scopi di ricerca e sviluppo, richiederà, inoltre, circa sei mesi per l'installazione completa, coinvolgendo un team composto da 250 ingegneri di ASML e Intel.

La Twinscan EXE:5000 di ASML, con una proiezione ottica a diaframma numerico di 0,55, punta a raggiungere una risoluzione di 8 nm, migliorando notevolmente le prestazioni degli scanner EUV Low-NA attualmente in uso.

La macchina sarà utilizzata per testare la tecnologia High-NA EUV e unirla alla tecnologia di processo Intel 18A, con una serie di piani futuri di adozione per la produzione su larga scala attraverso il processo di fabbricazione Intel 14A.

Il processo di calibrazione, che richiederà settimane se non mesi prima di essere portato a termine, inizierà dopo il completo assemblaggio della macchina. La risoluzione di 8 nm sarà cruciale per la produzione di chip che utilizzino tecnologie di processo inferiore a 3 nm, un obiettivo che l'industria, e in particolar modo Intel, si è prefissata di raggiungere tra il 2025 e il 2026. 

Il costo stimato della macchina High-NA EUV di ASML è di circa 380 milioni di dollari, più del doppio rispetto ai sistemi EUV Low-NA attuali. Nonostante Intel sia uno dei primi ad aver ricevuto questo avanzato strumento di fabbricazione, ASML starebbe già gestendo tra i 10 e i 20 ordini da aziende quali Samsung, SK Hynix e TSMC.