Funzionalità integrate per l'efficienza

Test - Core i5 2500K e Core i7 2600K Sandy Bridge, analisi dei consumi e dell'efficienza energetica.

Avatar di Tom's Hardware

a cura di Tom's Hardware

Funzionalità integrate per l'efficienza

C'è molto da dire su Sandy Bridge quando si parla di consumo ed efficienza. Anche se questa è la prima architettura consumer di Intel che integra un'unità grafica completa nel die del processore, l'architettura è di fatto basata su un design modulare, malgrado l'elevato livello d'integrazione. La divisione tra core e uncore (ad esempio il controller di memoria) è finalmente una cosa del passato e tutte le CPU Sandy Bridge sono divise in tre sezioni, che equivalgono a tre domini di energia e frequenza. Uno è il System Agent, che include il controller di memoria e il PCI Express, gli altri contengono i core con la cache L3 condivisa (ora chiamata last level cache, LLC) e l'architettura ring bus (ad anello), e il terzo è l'unità grafica. Ognuna di queste unità è scalabile, il che significa che sei od otto core anziché due o quattro sono già stati messi in scaletta.

Uso flessibile del TDP

La chiave per un'elevata efficienza energetica è la capacità di Sandy Bridge di assegnare a ognuna di queste sezioni una determinata porzione di TDP, mentre le altre possono passare a uno stato di consumo inferiore. Nessun'altra piattaforma mostra attualmente una così significativa differenza tra il consumo in idle e quello di picco. Permetteteci di fare un esempio: il sistema Core i7 2600K con grafica richiede solo 32 watt in idle, ma sale a 136 watt quando attiviamo Prime95 - è un balzo di 4,25 volte. Se immaginiamo un sistema dual socket con otto core, questo salto si tramuterebbe in numeri impressionanti. Infine, è giusto ricordare che non solo i core, ma anche l'unità grafica ha una gamma di frequenza aggiuntiva dinamica, la quale può raggiungere i 1100 MHz su un Core i5 e fino a 1350 MHz su un Core i7.

Turbo Boost 2.0

Una delle funzionalità chiave che aiuta a migliorare l'efficienza generale, e che è collegata strettamente al TDP, è la seconda generazione del Turbo Boost. A seconda del modello di processore, se c'è un carico pesante da gestire, s'innesca un incremento temporaneo di frequenza fino alla velocità massima consentita del Turbo Boost. Differentemente dalla prima implementazione, la versione 2.0 può coinvolgere tutti i core a disposizione e incrementerà la frequenza di lavoro fino a quando le temperature e il consumo lo consentiranno. Nella vita reale significa che un Core i5 o i7 Sandy Bridge funzionerà con una frequenza di clock superiore per un periodo limitato di tempo. Quando viene raggiunto il TDP massimo, il processore ridurrà la frequenza fino a quando il consumo e la temperatura non rientreranno nella soglia - nel peggiore dei casi alla frequenza nominale del processore.

Il Turbo Boost da solo non migliora necessariamente l'efficienza, ma un sistema che ha un consumo in idle così basso come la generazione Sandy Bridge dovrebbe stare in questo stato di basso consumo per più tempo possibile. Questo significa che deve affrontare i carichi di lavoro in sospeso il più rapidamente possibile, in modo che possa tornare velocemente in questo stato.

Produzione a 32 nanometri

Anche se questa non è una funzionalità che assicura di per sé efficienza, il processo produttivo a 32 nanometri è definitivamente un aspetto chiave per raggiungere le massime prestazioni per watt. Gate e transistor più piccoli si traducono in tensioni operative più basse e consumi inferiori. Questo dà a Intel la flessibilità necessaria per usare i transistor e l'area in maniera più intelligente. Questo è esemplificativo per spiegare il cambio di paradigma che sta avvenendo: aggiungere core e basta è un approccio che ricorda quello di aumentare la frequenza, tipico del periodo dei Pentium 4. L'effetto sarà quello di aumentare i consumi, senza una scalata lineare: otto core non offriranno, molto probabilmente, il doppio delle prestazioni di quattro core, ma il consumo sarà superiore di oltre 2 volte. Ã‰ quindinecessaria un'attenta analisi e una selezione delle operazioni da accelerare, nonchéun'implementazione intelligente deimiglioramenti se non si vuole aumentare il consumo energetico. Il trucco non èsolo migliorare le prestazioni per consumo, ma anche considerare le prestazioniper area.

Cool e Really Cool

Nei documenti che Intel ci ha fornito su Sandy Bridge, alcune funzionalità sono chiamate "cool" o "very cool". Molti sforzi di ottimizzazione per Sandy Bridge sono stati destinati alla ricerca di miglioramenti nella microarchitettura che forniscono un miglioramento superiore a quello linea nelle prestazioni per consumo. Una funzionalità "cool" significa che migliora le prestazioni ma non inficia troppo sui consumi. Idealmente il consumo dovrebbe crescere meno delle prestazioni.

Una funzionalità "really cool" ha un impatto ancora più importante, perché guadagna prestazioni mentre riduce il consumo. Ciò si applica alla branch prediction migliorata accoppiata con la cache µops decodificata, la quale consente ai decoder di stare spesso spenti. Tutti gli altri miglioramenti chiave sono stati definiti "cool".